當前位置:首頁 » 上海指南 » 上海分選機廠家有哪些
擴展閱讀
杭州上凱裝飾怎麼樣 2024-04-27 00:39:58
福州哪裡可以賣醫療器械 2024-04-27 00:17:18
灌口在廈門哪裡 2024-04-27 00:11:10

上海分選機廠家有哪些

發布時間: 2022-10-07 00:39:49

㈠ 蘋果選果機,全自動蘋果分揀設備,選果機哪家最好

作為蘋果種植大國,蘋果在南北方種植范圍都很廣,不同蘋果品種的採摘時間不同,蘋果采後也需要進行處理,需要進行分類分揀,對蘋果分級,以滿足不同的市場需求。將收獲的果品經過適度調整,根據形狀、大小、色澤、質地、成熟度、機械損傷、病蟲害及蘋果霉心病、蘋果黑心病檢測等,依據標准,使同一類別的果品規格、品質一致,實現生產和銷售的標准化。目前,大部分蘋果產區還是採用人工分級的方法,完全憑人工目測和經驗判斷來確定,效率低、准確率低、標准程度低。很難實現自動化,實現蘋果產業升級。

針對脆弱型易損傷水果,綠萌開發國內首款呵福式蘋果分選線,搭載智能4.0系統,不僅能夠精準分選內部的糖度、霉心、褐變等生理指標,,而且解決了套袋水果(如:紅富士蘋果等)磕碰傷這一世界性的行業難題。特別適用於脆弱型易損傷水果,如:桃、梨、蘋果等,實現對水果的全面呵護。

我們的蘋果分揀設備率先打破國外壟斷,改變了該領域裝備技術需要100%進口的被動局面,是全國知識產權優勢企業,設有中國科學院雙院士工作站。 適用花牛蘋果 富士蘋果 紅富士蘋果 嘎啦果 元帥蘋果 冰糖心蘋果 棲霞蘋果 煙台蘋果 山東蘋果 陝西水晶富士水晶富士水晶富士蘋果 秦冠蘋果 青蘋果 阿克蘇蘋果 阿克蘇冰糖心蘋果 蛇果 加力果

詳情請搜索:綠萌 免費贈送蘋果分選方案。

㈡ 分板機品牌有哪些哪個更靠譜

目前國內外以及大環境下,按照好評率以及出貨量,老牌的台企三家:智茂,和椿,億立,是做的比較好的,畢竟都是老牌廠商了,對比國內的國產設備,操作簡單,設備穩定,其技術沉澱更多,售後服務也相對來說也是更好的,這三家裡面我建議使用智茂的設備,智茂針對PCBA分板已經深耕了三十多年,且智茂早已在國內建廠,實現了國內自產自銷,雖然核心配件還是來自台灣,但價格方面是另外兩個台企所不能比擬的,且服務,質量,售後更好,而且目前國內市場,智茂設備裝機保有量為第一,市場早已驗證其質量。

㈢ 長三角地區有哪些 集成電路IC 分選機 handler 測試儀 Tester 廠家

Handler: ISMECA, SRM
Tester: Juno(簡單的二極體o/s測試), MacroTest, KALOS, Teradyne, Advantest|Verigy

㈣ 長度分選分級機的精度可以達到多少

目前國內長度分選分級機廠家很少,這個技術含量比較高,上海來賀自動化做長度分選機在國內市場比較知名,他們家的精度可以達到0.2厘米。
望採納,謝謝!

㈤ 請問有什麼比較好的分揀設備介紹嗎是用來做海鮮大閘蟹分選的,人工太慢了

專門用於分揀大閘蟹的自動分選機器,來賀牌大閘蟹分選機,
是可以根據大閘蟹重量多少自動分選對應的規格。
速度每分鍾140-260個,精度1-2g.
工廠在上海市松江區
供參考

㈥ 國產十五家主要半導體設備廠商介紹

前些天,我國本土半導體設備傳來好消息,中微半導體設備(上海)有限公司自主研製的5nm等離子體刻蝕機經台積電驗證,性能優良,將用於全球首條5nm製程生產線。刻蝕機是晶元製造的關鍵裝備之一,中微突破關鍵核心技術,讓「中國製造」躋身刻蝕機國際第一梯隊。

近年來,我國大陸半導體設備企業一直在努力追趕國際先進腳步。在多種設備領域有一定突破,除了上述中微半導體的5nm等離子體刻蝕機之外,有越來越多的產品可應用於14nm、7nm製程。

但是,國內設備與國外先進設備相比仍有較大差距,主要表現在兩方面:一是有一定競爭力的產品在領先製程上的差距;二是部分產品完全沒有競爭能力或尚未布局,比如國內光刻機落後許多代際,僅能達到90nm的光刻要求,國內探針台也處於研發階段,尚未實現銷售收入。

那麼,在國家的扶持下,經過這么多年的發展,我國本土半導體設備各個細分領域的發展情況如何呢?相關企業都有哪些?發展到了什麼程度呢?下面就來梳理一下。

北方華創

北方華創由七星電子和北方微電子戰略重組而成。七星甴子主營清洗機、氧化爐、 氣體質量控制器(MFC)等半導體裝備及精密甴子元器件等業務,此外七星甴子還是國內真空設備、 新能源鋰甴裝備重要供應商。北方微甴子主營刻蝕設備(Etch)、物理氣相沉積設備(PVD)、化學氣相沉積設備(CVD)三類設備。

2010 年 3 月,七星甴子在深交所上市。 2016 年 8 月,七星甴子與北方微甴子實現戰略重組,成為中國規模最大、產品體系最豐富、涉及領域最廣的高端半導體工藝設備供應商,開成功引迚國家集成甴路產業基金(大基金)等戰略投資者,實現了產業與資本的融合。 公司實際控制人是北京甴控,隸屬於國資委。

2017 年 2 月,七星甴子正式更名為北方華創 科技 集團股仹有限公司,完成了內部整合,推出全新品牉「北方華創」,開形成了半導體裝備、真空裝備、新能源鋰甴裝備和高精密甴子元器件四大業務板塊加集團總部的「4+1」經營管理模式。

北方華創的半導體裝備亊業群主要包括刻蝕機、 PVD、 CVD、氧化爐、擴散爐、清洗機及質量流量控制器(MFC)等 7 大類半導體設備及零部件,面向集成甴路、先進封裝等 8 個應用領域,涵蓋了半導體生產前段工藝製程中的除光刻機外的大部分兲鍵裝備。 客戶包括中芯國際、華力微甴子、長江存儲等國內一線半導體製造企業,以及長甴 科技 、 晶斱 科技 、華天 科技 等半導體封裝廠商。

重組之後,北方華創業績快速增長。2017 年實現營業收入 22.23 億元,同比增長37.01%,歸母凈利潤 1.26 億元,同比增長 35.21%。 根據公司 2018 年半年報業績快報,2018 年上半年公司實現營業收入13.95 億元,同比增長 33.44%, 歸母凈利潤 1.19 億元,同比增長 125.44%。 隨著下游晶圓廠投資加速, 公司半導體設備等覎模持續擴張。

長川 科技

長川 科技 是國內集成電路封裝測試、晶圓製造及晶元設計環節測試設備主要供應商。 半導體測試設備主要包括分選機、 測試機和探針台三大類。自2008年4月成立以來,該公司率先實現了半導體測試設備(分選機和測試機) 的國產化, 並獲得國內外眾多一流集成電路企業的使用和認可。

該公司於 2012 年 2 月承擔並完成國家「十二五」規劃重大專項「極大規模集成電路製造裝備及成套工藝」中的高端封裝設備與材料應用工程項目,並於 2015 年 3 月獲得國家集成電路產業基金投資。

該公司的測試機和分選機在核心性能指標上已達到國內領先、接近國外先進水平,同時售價低於國外同類型號產品,具備較高的性價比優勢。 公司產品已進入國內主流封測企業, 如天水華天、 長電 科技 、 杭州士蘭微、 通富微電等。 2017 年,該公司對外積極開拓市場, 設立台灣辦事處,拓展台灣市場。

2013~2017年,長川 科技 營收實現了由 4,341 萬元到 1.80 億元的跨越,復合增速達39.75%。 2017 年,歸屬母公司凈利潤由992萬元增長至 5,025 萬元, 復合增速達31.48%。

中微半導體

中微半導體成立於 2004 年,是一家微加工高端設備公司, 經營范圍包括研發薄膜製造設備和等離子體刻蝕設備、大面積顯示屏設備等。該公司管理層技術底蘊深厚,大多有任職於應用材料、LAM和英特爾等全球半導體一流企業的經驗。

中微半導體先後承擔並圓滿完成 65-45 納米、 32-22 納米、22-14 納米等三項等離子介質刻蝕設備產品研製和產業化。 公司自主研發的等離子體刻蝕設備 Primo D-RIE 可用於加工 64/45/28 納米氧化硅、氮化硅等電介質材料,介質刻蝕設備 Primo AD-RIE 可用於 22nm 及以下晶元加工,均已進入國內先進產線。中微半導體的介質刻蝕機已經完成了5nm 的生產。

晶盛機電

晶盛機電是一家專業從事半導體、光伏設備研發及製造的高新技術企業,是國內技術領先的晶體硅生長設備供應商。該公司專注於擁有自主品牌的晶體硅生長設備及其控制系統的研發、製造和銷售,先後開發出擁有完全自主知識產權的直拉式全自動晶體生長爐、鑄錠多晶爐產品。

該公司立足於「提高光電轉化效率、降低發電成本」的光伏技術路線,實現了硅晶體生長「全自動、高性能、高效率、低能耗」國內領先、國際先進的技術優勢。全自動單晶爐系列產品和 JSH800 型氣致冷多晶爐產品分別被四部委評為國家重點新產品。同時公司積極向光伏產業鏈裝備進行延伸,2015 年成功開發並銷售了新一代單晶棒切磨復合一體機、單晶硅棒截斷機、多晶硅塊研磨一體機、多晶硅塊截斷機等多種智能化裝備,並布局高效光伏電池裝備和組件裝備的研發。

該公司的晶體生長設備特別是單晶硅生長爐銷售形勢較好,主要是單晶光伏的技術路線獲得認可,隨著下游廠商的擴產,單晶的滲透率也逐步提升,帶來對單晶硅生長爐的需求增加,該類產品收入已經占營業收入的 81%。

該公司主營業務伴隨國內光伏產業的上升發展,給主營業務收入和利潤帶來顯著增長,近兩年的增長率均在 80%以上,另外,其毛利率水平和凈利率水平也基本維持穩定。

上海微電子

上海微電子裝備有限公司成立於2002年,主要致力於大規模工業生產的投影光刻機研發、生產、銷售與服務,該公司產品可廣泛應用於IC製造與先進封裝、MEMS、TSV/3D、TFT-OLED等製造領域。

該公司主要產品包括:

600掃描光刻機系列—前道IC製造

基於先進的掃描光刻機平台技術,提供覆蓋前道IC製造90nm節點以上大規模生產所需,包含90nm、130nm和280nm等不同解析度節點要求的ArF、KrF及i-line步進掃描投影光刻機。該系列光刻機可兼容200mm和300mm矽片。

500步進光刻機系列—後道IC、MEMS製造

基於先進的步進光刻機平台技術,提供覆蓋後道IC封裝、MEMS/NEMS製造的步進投影光刻機。該系列光刻機採用高功率汞燈的ghi線作為曝光光源,其先進的逐場調焦調平技術對薄膠和厚膠工藝,以及TSV-3D結構等具有良好的自動適應性,並通過採用具有專利的圖像智能識別技術,無需專門設計特殊對准標記。該系列設備具有高解析度、高套刻精度和高生產率等一系列優點,可滿足用戶對設備高性能、高可靠性、低使用成本(COO)的生產需求。

200光刻機系列—AM-OLED顯示屏製造

200系列投影光刻機綜合採用先進的步進光刻機平台技術和掃描光刻機平台技術,專用於新一代AM-OLED顯示屏的TFT電路製造。該系列光刻機不僅可用於基板尺寸為200mm × 200mm的工藝研發線,也可用於基板尺寸為G2.5(370mm × 470mm)和G4.5(730mm × 920mm)的AM-OLED顯示屏量產線。

矽片邊緣曝光機系列——晶元級封裝工藝應用

SMEE開發的矽片邊緣曝光機提供了滿足晶元級封裝工藝中對矽片邊緣進行去膠處理的能力,設備可按照客戶要求配置邊緣曝光寬度、矽片物料介面形式、曝光工位等不同形式。設備同時兼容150mm、200mm和300mm等三種不同規格的矽片,邊緣曝光精度可到達0.1mm。設備配置了高功率光源,具有較高的矽片面照度,提高了設備產率。

至純 科技

至純 科技 成立於 2000 年, 主要為電子、生物醫葯及食品飲料等行業的先進製造業企業提供高純工藝系統的整體解決方案, 產品為高純工藝設備和以設備組成的高純工藝系統,覆蓋設計、加工製造、安裝以及配套工程、檢測、廠務託管、標定和維護保養等增值服務。

該公司在 2016年前產品約一半收入來自醫葯類行業,光伏、 LED 行業及半導體行業收入佔比較小。 2016年以來,公司抓住半導體產業的發展機遇,逐步擴大其產品在半導體領域的銷售佔比, 2016和 2017 年來自半導體領域收入占公司營業收入比重分別為 50%和 57%,占據公司營業收入半壁江山。主攻半導體清洗設備。

該公司於 2015 年開始啟動濕法工藝裝備研發, 2016 年成立院士工作站, 2017 年成立獨立的半導體濕法事業部至微半導體,目前已經形成了 UltronB200 和 Ultron B300 的槽式濕法清洗設備和 Ultron S200 和 Ultron S300 的單片式濕法清洗設備產品系列, 並取得 6 台的批量訂單。

精測電子

武漢精測電子技術股份有限公司創立於 2006 年 4 月,並於 2016 年 11 月在創業板上市。公司主要從事平板顯示檢測系統的研發、生產與銷售,在國內平板顯示測試領域處於絕對領先地位, 主營產品包括:模組檢測系統、面板檢測系統、OLED 檢測系統、AOI光學檢測系統和平板顯示自動化設備。近幾年來,該公司積極對外投資,設立多家子公司,業務規模迅速擴張,進一步完善了產業布局。

該公司成立初期主要專注於基於電訊技術的信號檢測,是國內較早開發出適用於液晶模組生產線的 3D 檢測、基於 DP 介面的液晶模組生產線的檢測和液晶模組生產線的 Wi-Fi 全無線檢測產品的企業,目前該公司的 Mole 製程檢測系統的產品技術已處於行業領先水平。

2014 年,精測電子積極研發 AOI 光學檢測系統和平板顯示自動化設備,引進了宏瀨光電和台灣光達關於 AOI 光學檢測系統和平板顯示自動化設備相關的專利等知識產權,使其在 Array製程和 Cell 製程的檢測形成自有技術,初步形成了「光、機、電」技術一體化的優勢。

精測電子2018年上半年財務報告顯示,該公司收入主要來自 AOI 光學檢測系統業務,佔比 45.49%,毛利佔比 41.94%;其次是模組檢測系統業務,收入佔比 23.33%,毛利佔比 27.68%; OLED 檢測系統和平面顯示自動化設備收入佔比分別為 14.29%和12.30%,毛利佔比為 14.26%和 10.28%。

電子 科技 集團45所

中國電子 科技 集團公司第45研究所創立於1958年,2010年9月,中央機構編制委員會辦公室批准45所第一名稱更改為「北京半導體專用設備研究所」,第二名稱仍保持「中國電子 科技 集團公司第四十五研究所」不變。

45所是國內專門從事軍工電子元器件關鍵工藝設備技術、設備整機系統以及設備應用工藝研究開發和生產製造的國家重點軍工科研生產單位。

45所以光學細微加工和精密機械與系統自動化為專業方向,以機器視覺技術、運動控制技術、精密運動工作台與物料傳輸系統技術、精密零部件設計優化與高效製造技術、設備應用工藝研究與物化技術、整機系統集成技術等六大共性關鍵技術為支撐,圍繞集成電路製造設備、半導體照明器件製造設備、光伏電池製造設備、光電組件製造和系統集成與服務等五個重點技術領域,開發出了電子材料加工設備、晶元製造設備、光/聲/電檢測設備、化學處理設備、先進封裝設備、電子圖形印刷設備、晶體元器件和光伏電池等八大類工藝設備和產品,服務於集成電路、光電元器件與組件、半導體照明和太陽能光伏電池四大行業.

上海睿勵

睿勵科學儀器(上海)有限公司是於2005年創建的合資公司,致力於研發、生產和銷售具有自主知識產權的集成電路生產製造工藝裝備產業中的工藝檢測設備。主要生產用於65/28/14nm製程工藝控制的膜厚測量設備。

沈陽芯源

沈陽芯源微電子設備有限公司成立於2002年,由中科院沈陽自動化研究所引進國外先進技術投資創建。

芯源公司自主開發的單片勻膠機、顯影機、噴膠機、去膠機、清洗機、濕法刻蝕機等設備廣泛應用於半導體、先進封裝、MEMS、LED等領域。

1.LED領域勻膠顯影機:應用於LED晶元製造、PSS(圖形化襯底)、MEMS、HCPV(高聚光型太陽能電池)、Waveguide(光波導)工藝的勻膠顯影等工藝製程。

2.高端封裝全自動塗膠顯影機:廣泛應用於先進封裝BGA、Flip-Chip、WSP、CSP製程的高黏度PR、PI、Epoxy的塗敷、顯影工藝製程。

3.高端封裝全自動噴霧式塗膠機: 廣泛應用於TSV、MEMS、WLP等工藝製程。

4.單片濕法刻蝕機/去膠機/清洗機:廣泛應用於先進封裝BGA、Flip-Chip、WSP、CSP製程的刻蝕、去膠、清洗工藝製程。

5.前道堆疊式全自動塗膠顯影機:應用於90nm光刻工藝、BARC塗覆、SOC、SOD、SOG等工藝製程。

盛美半導體

盛美半導體(ACM Research)是國內半導體清洗設備主要供應商,於1998年在美國矽谷成立,主要研發電拋光技術,2006 年成立上海子公司,專注於半導體清洗設備。2017年11月4日公司在美國納斯達克上市。2017年公司營業收入3650萬美元,同比增長33.2%,其中90%以上的營業收入來自於半導體清洗設備。2017 年研發投入占營業收入比例為14.1%。

由於聲波清洗可能會造成晶片損傷,行業公司大多轉向研發其他技術,盛美半導體另闢蹊徑研發出空間交變相移兆聲波清洗(SAPS)和時序能激氣泡震盪兆聲波清洗(TEBO)兩項專利技術,可以實現無傷清洗。公司的清洗設備目前已經進入 SK 海力士、長江存儲和上海華力等先進產線。

天津華海清科

天津華海清科機電 科技 有限公司成立於2013年,是天津市政府與清華大學踐行「京津冀一體化」國家戰略,為推動我國化學機械拋光(CMP)技術和設備產業化成立的高 科技 企業。

華海清科主要從事CMP設備和工藝及配套耗材的研發、生產、銷售與服務,核心團隊成員來自清華大學摩擦學國家重點實驗室及業內專業人才,產品可廣泛應用於極大規模集成電路製造、封裝、微機電系統製造、晶圓平坦化、基片製造等領域。

中電科裝備

中電科電子裝備集團有限公司成立於2013年,是在中國電子 科技 集團公司2所、45所、48所基礎上組建成立的二級成員單位,屬中國電子 科技 集團公司獨資公司,注冊資金21億元,該公司是我國以集成電路製造裝備、新型平板顯示裝備、光伏新能源裝備以及太陽能光伏產業為主的科研生產骨幹單位,具備集成電路局部成套和系統集成能力以及光伏太陽能產業鏈整線交鑰匙能力。

多年來,利用自身雄厚的科研技術和人才優勢,形成了以光刻機、平坦化裝備(CMP)、離子注入機、電化學沉積設備(ECD)等為代表的微電子工藝設備研究開發與生產製造體系,涵蓋材料加工、晶元製造、先進封裝和測試檢測等多個領域;通過了ISO9001、GJB9001A、UL、CE、TüV、NRE等質量管理體系與國際認證。

沈陽拓荊

沈陽拓荊 科技 有限公司成立於2010年4月,是由海外專家團隊和中科院所屬企業共同發起成立的國家高新技術企業。拓荊公司致力於研究和生產薄膜設備,兩次承擔國家 科技 重大專項。2016年、2017年連續兩年獲評「中國半導體設備五強企業」。

該公司擁有12英寸PECVD(等離子體化學氣相沉積設備)、ALD(原子層薄膜沉積設備)、3D NAND PECVD(三維結構快閃記憶體專用PECVD設備)三個完整系列產品,技術指標達到國際先進水平。產品廣泛應用於集成電路前道和後道、TSV封裝、光波導、LED、3D-NAND快閃記憶體、OLED顯示等高端技術領域。

華海清科

天津華海清科機電 科技 有限公司成立於2013年,是天津市政府與清華大學踐行「京津冀一體化」國家戰略,為推動我國化學機械拋光(CMP)技術和設備產業化成立的高 科技 企業。

華海清科主要從事CMP設備和工藝及配套耗材的研發、生產、銷售與服務,核心團隊成員來自清華大學摩擦學國家重點實驗室及業內專業人才,產品可廣泛應用於極大規模集成電路製造、封裝、微機電系統製造、晶圓平坦化、基片製造等領域。

以上就是我國大陸地區的主要半導體設備生產企業。

隨著我國半導體產業的快速發展,對半導體設備的需求量越來越大,而本土半導體設備企業面臨著供給與需求錯配的情況。一方面,國內的半導體設備需求隨著下游產線的擴張而迅速增加,大陸的半導體設備需求佔全球半導體設備需求的比重較高;但另一方面,本土的設備供給存在著水平較為落後,國產化率不高的情況。

針對這一情形,在國家的大力支持下,國內設備企業需要積極布局,以在各細分設備領域實現突破。

㈦ 重量分選機怎麼選

珠三角的;華東地區:賽多利斯重量分選機廠家,主要集中在珠三角和長三角:上海來賀,托利多

㈧ 什麼是一口半清分機

一口半紙幣清分機其實是指1+1口紙幣清分機

㈨ 想采購渦電流分選機,哪家的好

針對於想要購買渦電流分選機,小編有以下幾點建議:
1、首先要了解主要是什麼類型的物料,是否可以對其物料進行分選;
2、渦電流分選機磁力的大小,要選擇磁源穩定,磁力大小在4000高斯左右;
3、分選效果能不能達到所需要的效果,現在的渦電流分選機根據不同物料,分選效果在95%左右;
4、每小時的產量能不能達到要求;
5、最後是大家最關心的就是設備的價格,有的廠家渦電流功能很多,但是隨之價格也就很高,其實有些功能是實際用不到的,因此選擇一個可以滿足要求,且價格實惠的設備很重要。

㈩ 上海冶金礦山機械廠 是什麼企業

公司簡介:
上海冶金礦山機械廠創建於1959年,是以製造冶金、礦山、環保、通用機械設備而著稱的企業。四十多年來,為國家經濟建設提供了數十萬噸品質精良的重大裝備與機械設備,並和abb集團、日本三菱重工、川崎重工、德國siemag、demag、義大利ansaldo-gie公司、英國aaf公司等著名廠商有眾多的成功合作許多著名廠商有眾多的成功合作,創造了不凡的業績,在國內外具有優良的信譽。企業系國家二級企業,中國環保產業百強企業第三名,機械部、上海市重點骨幹企業,上海市質量管理優秀企業,上海市優秀工廠。產品曾獲得國家優質產品銀質獎,國務院重大技術裝備科技進步特等獎,中華綠色科技獎銀質獎,煤炭部、機械部、環保部優質產品,《環山》為上海市名牌產品及上海市優質出口產品等殊榮。產品遠銷美國、英國、日本、埃及、馬來西亞、新加坡、奈及利亞、印度尼西亞、台灣等近20個國家和地區。1993年獲進出口經營自主權。1997年通過iso9001質量管理和質量保證體系認證,2004年升級執行2000版。 本廠現有在崗職工500人,專業技術人員168人,其中中高級職稱18人。廠區佔地面積13.4萬平方米,建築面積6.2萬平方米。地處上海市區北側,地理位置優越,交通便利。注冊資金4494萬元,固定資產凈值5321.6萬元。擁有6個生產車間,380台設備,其中包括引進cad/cam和hp計算機輔助設計和輔助管理系統,以及加工中心、數控切割、大型滾齒機等進口或重點設備,最大生產車間3600平方米(120×30米),軌高凈17.6米,配置75噸起重設施。年生產能力15000—20000噸,年銷售收入70000萬元。 本廠主要設計製造:廣泛應用於冶金、電力、化工、建材、有色金屬等行業的電除塵器;應用於國內各大鋼鐵企業的連鑄、燒結、篩分等冶金設備;應用於國內各大礦務局的多繩提升機、電牽引採煤機、雙滾筒液壓採煤機等礦山設備;廣泛應用於玻璃、塑料、陶瓷、建築等各類非易燃易爆物料的r擺式磨粉機、超細分選機; 應用於各行各業機械傳動的硬齒面減速器、圓弧圓柱蝸輪減速器等通用設備。企業的電除塵器、多繩提升機、r擺式磨粉機等產品的技術水平在國內處於領先地位。以可靠的質量、優良的性能、良好的商譽而具備穩定的市場佔有率。 我們堅持不斷提高用戶滿意度的方針。積極拓展市場,力求雙贏,竭誠歡迎國內外新老用戶惠顧。公司視產品質量為企業的生命。產品嚴格按照IS09001國際質量認證體系標准生產,其主要部件及易損件均採用優質的耐磨材料和先進的加工工藝,使設備經久耐磨,飲譽國內外,出口印尼、澳大利亞、越南、朝鮮、俄羅斯、哈薩克及非洲等國家和地區。