當前位置:首頁 » 天津資料 » 天津中電半導體屬於什麼企業
擴展閱讀
在福州甲油膠去哪裡進貨 2024-04-24 21:55:09
麗江和廈門哪個拍婚紗照 2024-04-24 21:45:06
現在福州加油哪裡便宜 2024-04-24 21:40:32

天津中電半導體屬於什麼企業

發布時間: 2022-10-02 21:40:53

A. 天津中環半導體股份有限公司是國營企業嗎 查了半天沒查出結果來 回答簡潔著點 我只要知道是不是國營的就可

1、天津中環半導體股份有限公司是國企,但現在國企都在改制,股份制已經改造完成
2、天津中環半導體股份有限公司有一部分項目是與韓國合資開發的,好像是coms項目,
那部分可能算合資
我曾經在哪工作 。

B. 天津市中環電子信息集團有限公司的旗下企業

中環電子計算機有限公司
天津市中環電子計算機有限公司是中環電子信息集團子公司,是融科研開發、生產製造、經營銷售、技術服務、新型投資於一體的多種經濟體制並存的集團性公司。公司成立於1987年,坐落在天津市高新技術產業園區,佔地9.9萬平方米,注冊資本1.15億元。擁有全資、控股、參股企業30餘家。與日本精工愛普生、美國IBM、日本NEC和加拿大敏迪等國際知名公司共同組建合資、合作企業。現有員工2800餘人,其中技術人員佔11%。連續多年位列全國電子信息百強個中國企業信息化500強。2009年完成銷售收入14.5,實現利潤6499萬元,出口創匯19541萬美元。
特種計算機事業部隸屬於公司本部,是公司利用特殊機制組建並重點支持發展的部門,擁有一支年紀輕、素質高的專業化營銷團隊和研發團隊。
特種機事業部以打造「環渤海特種計算機及配件增值服務平台」為目標,致力於為客戶提供高性價比的特種計算機與配件,為行業信息化與自動化提供整體解決方案。
中環天儀股份有限公司
中環天儀股份有限公司,(原天津天儀集團儀表有限公司,2009年1月1日正式更名為中環天儀股份有限公司)位於國家級新技術產業園區天津華苑產業區,是在原天津儀表集團的基礎上,於2003年3月對天津儀表集團中骨幹企業進行重組而成的國有控股的全新機制的企業,是我國儀器儀錶行業中的骨幹企業和天津市「高新技術企業」,也是國內較大綜合性儀器儀表研發製造基地之一,產品門類齊全,具有較強系統成套能力。現任中國儀器儀錶行業協會常務理事單位、中儀協自動化儀表分會理事長單位。
公司擁有國家認定企業技術中心及工業自動化專家和高級技術研發人員,承擔著國家863科技攻關項目以及現場匯流排、智能化儀表等多項研究課題。
公司專業設計、生產和銷售工業自動化檢測控製成套裝置,溫度儀表、壓力儀表、流量儀表、物位儀表、顯示儀表、調節閥、氣動單元組合儀表、電動單元組合儀表、執行器、儀表盤操縱台、智能化儀表及可編程式控制制器;氣象儀器、建築儀器、非金屬材料實驗機、環保儀器、曬圖儀器;黑色及有色金屬鑄造製品共十八類產品。並為顧客提供系統工程配套設計、安裝、調試和交鑰匙工程。產品廣泛應用於電力、石油、化工、冶金、市政、輕工、紡織、水泥、食品、建築等領域,與國家和省市各專業設計院所和工礦企業有著廣泛的合作關系。
公司在國內主要省、市設有35家辦事處(銷售處)以及設計院和重點客戶合作網路,在國外設有歐洲、南美和東南亞聯絡處;與世界著名的西門子、ABB和東芝等跨國公司有著廣泛的技術、商務合作關系;與美國費希爾公司、日本三井密烘—伊達制鋼公司、日本撒布浪斯公司、德國威格公司和丹麥吉麥克公司分別建立了合資公司;並先後從德國題世公司、芬蘭維薩拉公司、英國肯特、桑達斯公司、法國伯納德公司、日本金子產業株式會社等引進先進技術,建立了友好合作關系。
各類產品出口東亞、東南亞、西亞、南美、中東及北非等20多個國家和地區。
天津中環半導體股份有限公司
天津中環半導體股份有限公司是生產半導體分立器件的專業廠家,是天津市高新技術企業。公司現有員工924人,其中工程技術人員280人。公司在引進國外先進技術的基礎上,通過消化吸收、自主創新,掌握了產品的核心技術,不斷開發出新產品,自主開發的產品產值率達95%以上。1994年公司通過了ISO9002:1994質量體系認證,2003年又通過了ISO9001:2000質量體系認證。產品質量達到了國際先進水平,經濟效益連續多年在國內同行業中名列前茅。2004年完成股份制改造並於2004年7月16日創立天津中環半導體股份有限公司。
公司主要產品有高壓硅堆、硅整流二極體、硅橋式整流器、微波爐用高壓硅堆、工業用特種硅堆等。廣泛應用於行輸出變壓器、彩色電視機、顯示器、微波爐、空氣清新機、空調器、洗衣機、程式控制交換機、各種電源以及其它電子設備。年生產能力在10億支以上,年銷售額超過2億元。產品行銷全國並遠銷海外21個國家和地區。高壓硅堆產銷量躍居世界第2位,國際市場佔有率達到17%,國內市場佔有率達到43%。硅整流二極體、硅橋式整流器在國內彩電市場的佔有率分別達到20%和50%以上。
天津市環歐半導體材料技術有限公司
天津市環歐半導體材料技術有限公司是從事半導體材料硅單晶、矽片的生產企業。擁有50年的生產歷史和專業經驗,形成了以直拉硅單晶、區熔硅單晶、直拉矽片、區熔矽片為主的四大產品系列,是中國硅單晶品種最齊全的廠家之一。
公司已經通過了汽車行業ISO/TS16949:2009質量管理體系、ISO9001:2008質量管理體系、ISO14001:2004環境管理體系、OHSAS18001:2007職業健康安全管理體系等四大國際權威認證,本著對社會負責,對環境友好,讓職工幸福的宗旨,保證產品品質一流,力爭科技世界領先,綠色經營,努力做到對人類、對世界、對國家、對民族、對職工有貢獻、有責任、有擔當的企業。
環歐精神:挑戰創新、一線實踐、負重圖強、團隊奉獻。
環歐公司於2002年11月生產出國內首顆6寸區熔硅單晶,標志著中國區熔硅單晶的生產技術達到國際先進水平;隨後與2012年2月研發生產出國內首顆8英寸區熔硅單晶,躋身國際領先行列。為滿足市場對大功率電力電子器件的需求,環歐公司購置了先進的FZ-30區熔爐、CG6000直拉爐、KAYES150直拉爐、多線切片機、全自動矽片清洗機、全自動矽片檢測儀器等先進設備,建立我我國大直徑區熔桂單晶產業化基地。環歐公司的直拉和區熔硅單晶年生產能力已分別突破150噸和75噸。2007年實現銷售收入5.3億元,銷售利潤1.28億元。
環歐公司具有較強的產品研發能力和技術創新能力,開發了多項具有自主知識產權的特色產品,「直拉區熔硅單晶的研製」獲國家知識產權局頒發的生產發明專利證書,並獲天津市專利金獎,「氣相摻雜區熔硅單晶的生產方法」等12項具有自主知識產權的項目,已通過國家知識產權局「發明專利申請初步審核」。公司以精益求精的精神和嚴謹的工作為客戶提供優質的產品和服務,其中,公司供18所的太陽能電池用矽片成功應用於中國「神舟號」系列載人飛船等各類航天飛船的電源系統上。

C. 中國電子科技集團47研究所是什麼性質的單位

中國電子科技集團47研究所是軍工企業。

中國電子科技集團公司第四十七研究所,始建於1958年,是長期從事半導體器件研製、生產的國家骨幹研究所,座落於沈陽市皇姑區。

中國電子科技集團公司第四十七研究所具有較強的產品設計、開發和生產能力,已研製出包括國產第一款CPU、MCU、FPGA等多項具有國際先進、國內領先水平的集成電路產品。

取得各類科研成果一千餘項,其中二百餘項榮獲國家級或省、部級科研成果獎,為我國國防建設和微電子事業發展做出了突出的貢獻。

(3)天津中電半導體屬於什麼企業擴展閱讀

四十七所根據《關於印發〈關於中央企業履行社會責任的指導意見〉的通知》等有關規定及要求,加速社會實踐管理提升。

以環境保護為前提,堅持科學發展,通過加強技術改造、維護保養,提高資源利用效率,嚴格控制廢水、廢氣及雜訊排放,加強職工環保教育,倡導綠色工作、綠色生活。

以產品售後服務為支點,保證質量,提升用戶滿意度,售後服務人員30餘次到用戶方幫助解決問題,配合用戶完成技術歸零1次,並隨時對提出的技術問題進行電話接話解決,得到用戶好評,售後服務滿意度評測結果為98.95%,達到制定目標。

以社會公益為己任,助力社會和諧,為集團公司指定扶貧縣捐款5萬元,資助貧困大學生1名,組織社會大病捐贈活動兩次,參加所在社區組織的捐贈活動1次,塑造了良好的企業形象。

D. 天津中環半導體怎麼樣啊

中環半導體是天津市的優秀企業,榮獲多項殊榮,是大型國有企業一半導體產品為主集研發生產銷售於一體,福利待遇在天津市是最好的

E. 天津中環半導體是個什麼樣的公司

天津中環半導體股份有限公司是生產半導體分立器件的專業廠家,是天津市高新技術企業。公司現有員工924人,其中工程技術人員280人。公司在引進國外先進技術的基礎上,通過消化吸收、自主創新,掌握了產品的核心技術,不斷開發出新產品,自主開發的產品產值率達95%以上。1994年公司通過了ISO9002:1994質量體系認證,2003年又通過了ISO9001:2000質量體系認證。產品質量達到了國際先進水平,經濟效益連續多年在國內同行業中名列前茅。2004年完成股份制改造並於2004年7月16日創立天津中環半導體股份有限公司。
公司主要產品有高壓硅堆、硅整流二極體、硅橋式整流器、微波爐用高壓硅堆、工業用特種硅堆等。廣泛應用於行輸出變壓器、彩色電視機、顯示器、微波爐、空氣清新機、空調器、洗衣機、程式控制交換機、各種電源以及其它電子設備。年生產能力在10億支以上,年銷售額超過2億元。產品行銷全國並遠銷海外21個國家和地區。高壓硅堆產銷量躍居世界第2位,國際市場佔有率達到17%,國內市場佔有率達到43%。硅整流二極體、硅橋式整流器在國內彩電市場的佔有率分別達到20%和50%以上。

企業發展簡史

1969年 組建天津市第三半導體器件廠,主要產品是大功率硅整流二極體、可控硅。
1975年 電視機用高壓硅堆和硅整流二極體投產。
1977年 硅橋式整流器投產。
1984年 引進玻封高壓硅堆生產線。
1985年 引進硅整流二極體生產線。
1986年 玻封高壓硅堆通過IECQ產品質量認證,並獲得國優金獎。
1989年 組建天津市中環半導體公司。高壓硅堆、硅整流二極體通過IECQ產品質量認證,並獲得國優金獎。
1991年 引進塑封高壓硅堆生產線。
1994年 引進硅橋式整流器生產線。通過ISO9002:1994質量體系認證。認定為天津市高新技術企業。
1996年 高壓硅堆產品進入國際市場。
1998年 榮獲天津市名牌產品稱號。
1999年 企業改制為天津中環半導體股份有限公司。
2002年 高壓硅堆、硅整流二極體、微波爐用高壓硅堆和硅橋式整流器的年生產能力達到10億支。
高壓硅堆產銷量躍居世界第二位。
2003年 公司通過了ISO9001:2000質量體系認證。
2004年 完成股份制改造並於7月16日成立。
2005年末 公司搬入新廠區。

F. 天津中環半導體環歐公司是大型國企嗎 正式工待遇和福利怎麼樣

我不是裡面的員工,而且你問的有些專業,我回答可能很片面!我們單位跟這家企業有合作,是國企,單位是相當不錯的,據說很正規,我們是給他們公司器件供應材料的,要求真的不是一般的高,我也是國企單位啊,所以按說是很好打進去的,但是到現在都還沒成為合格的供應商,所以從表面上看應該是不錯的單位,大無縫可能這兩年沒那麼的好了,當然如果關繫到位了那肯定一切都到位了!

G. 中電二公司是國企還是央企

中電二公司是國企,中電二公司是業內最具規模之一的企業,是一家國企,對工程品質的要求很高,因此對工程實施的技術工人的要求很高,公司每年有1.5萬到2.5萬的勞務需求。

中電工焊工是主要的培訓工種,工種與公司的需求是吻合的;同時海東市共有6所職業院校,學校大多開設了機電和焊接的專業,能滿足公司的要求。

中電二公司業務領域

中電二公司半導體建設史,就是我國半導體行業發展史的縮影。擁有國內首創多、市場佔有高、服務范圍廣的行業優勢。

公司擁有高質穩固的半導體行業客戶群,其中30%以上為全球知名企業。全球前25名半導體企業服務13家,全國前10名半導體企業服務9家。

中電二公司以先進的建造技術,優質的服務為客戶提供整體實驗室解決方案。先後建成中國農業科學院蘭州獸研所、常州中國科學院遺傳資源研發中心。

H. 國產十五家主要半導體設備廠商介紹

前些天,我國本土半導體設備傳來好消息,中微半導體設備(上海)有限公司自主研製的5nm等離子體刻蝕機經台積電驗證,性能優良,將用於全球首條5nm製程生產線。刻蝕機是晶元製造的關鍵裝備之一,中微突破關鍵核心技術,讓「中國製造」躋身刻蝕機國際第一梯隊。

近年來,我國大陸半導體設備企業一直在努力追趕國際先進腳步。在多種設備領域有一定突破,除了上述中微半導體的5nm等離子體刻蝕機之外,有越來越多的產品可應用於14nm、7nm製程。

但是,國內設備與國外先進設備相比仍有較大差距,主要表現在兩方面:一是有一定競爭力的產品在領先製程上的差距;二是部分產品完全沒有競爭能力或尚未布局,比如國內光刻機落後許多代際,僅能達到90nm的光刻要求,國內探針台也處於研發階段,尚未實現銷售收入。

那麼,在國家的扶持下,經過這么多年的發展,我國本土半導體設備各個細分領域的發展情況如何呢?相關企業都有哪些?發展到了什麼程度呢?下面就來梳理一下。

北方華創

北方華創由七星電子和北方微電子戰略重組而成。七星甴子主營清洗機、氧化爐、 氣體質量控制器(MFC)等半導體裝備及精密甴子元器件等業務,此外七星甴子還是國內真空設備、 新能源鋰甴裝備重要供應商。北方微甴子主營刻蝕設備(Etch)、物理氣相沉積設備(PVD)、化學氣相沉積設備(CVD)三類設備。

2010 年 3 月,七星甴子在深交所上市。 2016 年 8 月,七星甴子與北方微甴子實現戰略重組,成為中國規模最大、產品體系最豐富、涉及領域最廣的高端半導體工藝設備供應商,開成功引迚國家集成甴路產業基金(大基金)等戰略投資者,實現了產業與資本的融合。 公司實際控制人是北京甴控,隸屬於國資委。

2017 年 2 月,七星甴子正式更名為北方華創 科技 集團股仹有限公司,完成了內部整合,推出全新品牉「北方華創」,開形成了半導體裝備、真空裝備、新能源鋰甴裝備和高精密甴子元器件四大業務板塊加集團總部的「4+1」經營管理模式。

北方華創的半導體裝備亊業群主要包括刻蝕機、 PVD、 CVD、氧化爐、擴散爐、清洗機及質量流量控制器(MFC)等 7 大類半導體設備及零部件,面向集成甴路、先進封裝等 8 個應用領域,涵蓋了半導體生產前段工藝製程中的除光刻機外的大部分兲鍵裝備。 客戶包括中芯國際、華力微甴子、長江存儲等國內一線半導體製造企業,以及長甴 科技 、 晶斱 科技 、華天 科技 等半導體封裝廠商。

重組之後,北方華創業績快速增長。2017 年實現營業收入 22.23 億元,同比增長37.01%,歸母凈利潤 1.26 億元,同比增長 35.21%。 根據公司 2018 年半年報業績快報,2018 年上半年公司實現營業收入13.95 億元,同比增長 33.44%, 歸母凈利潤 1.19 億元,同比增長 125.44%。 隨著下游晶圓廠投資加速, 公司半導體設備等覎模持續擴張。

長川 科技

長川 科技 是國內集成電路封裝測試、晶圓製造及晶元設計環節測試設備主要供應商。 半導體測試設備主要包括分選機、 測試機和探針台三大類。自2008年4月成立以來,該公司率先實現了半導體測試設備(分選機和測試機) 的國產化, 並獲得國內外眾多一流集成電路企業的使用和認可。

該公司於 2012 年 2 月承擔並完成國家「十二五」規劃重大專項「極大規模集成電路製造裝備及成套工藝」中的高端封裝設備與材料應用工程項目,並於 2015 年 3 月獲得國家集成電路產業基金投資。

該公司的測試機和分選機在核心性能指標上已達到國內領先、接近國外先進水平,同時售價低於國外同類型號產品,具備較高的性價比優勢。 公司產品已進入國內主流封測企業, 如天水華天、 長電 科技 、 杭州士蘭微、 通富微電等。 2017 年,該公司對外積極開拓市場, 設立台灣辦事處,拓展台灣市場。

2013~2017年,長川 科技 營收實現了由 4,341 萬元到 1.80 億元的跨越,復合增速達39.75%。 2017 年,歸屬母公司凈利潤由992萬元增長至 5,025 萬元, 復合增速達31.48%。

中微半導體

中微半導體成立於 2004 年,是一家微加工高端設備公司, 經營范圍包括研發薄膜製造設備和等離子體刻蝕設備、大面積顯示屏設備等。該公司管理層技術底蘊深厚,大多有任職於應用材料、LAM和英特爾等全球半導體一流企業的經驗。

中微半導體先後承擔並圓滿完成 65-45 納米、 32-22 納米、22-14 納米等三項等離子介質刻蝕設備產品研製和產業化。 公司自主研發的等離子體刻蝕設備 Primo D-RIE 可用於加工 64/45/28 納米氧化硅、氮化硅等電介質材料,介質刻蝕設備 Primo AD-RIE 可用於 22nm 及以下晶元加工,均已進入國內先進產線。中微半導體的介質刻蝕機已經完成了5nm 的生產。

晶盛機電

晶盛機電是一家專業從事半導體、光伏設備研發及製造的高新技術企業,是國內技術領先的晶體硅生長設備供應商。該公司專注於擁有自主品牌的晶體硅生長設備及其控制系統的研發、製造和銷售,先後開發出擁有完全自主知識產權的直拉式全自動晶體生長爐、鑄錠多晶爐產品。

該公司立足於「提高光電轉化效率、降低發電成本」的光伏技術路線,實現了硅晶體生長「全自動、高性能、高效率、低能耗」國內領先、國際先進的技術優勢。全自動單晶爐系列產品和 JSH800 型氣致冷多晶爐產品分別被四部委評為國家重點新產品。同時公司積極向光伏產業鏈裝備進行延伸,2015 年成功開發並銷售了新一代單晶棒切磨復合一體機、單晶硅棒截斷機、多晶硅塊研磨一體機、多晶硅塊截斷機等多種智能化裝備,並布局高效光伏電池裝備和組件裝備的研發。

該公司的晶體生長設備特別是單晶硅生長爐銷售形勢較好,主要是單晶光伏的技術路線獲得認可,隨著下游廠商的擴產,單晶的滲透率也逐步提升,帶來對單晶硅生長爐的需求增加,該類產品收入已經占營業收入的 81%。

該公司主營業務伴隨國內光伏產業的上升發展,給主營業務收入和利潤帶來顯著增長,近兩年的增長率均在 80%以上,另外,其毛利率水平和凈利率水平也基本維持穩定。

上海微電子

上海微電子裝備有限公司成立於2002年,主要致力於大規模工業生產的投影光刻機研發、生產、銷售與服務,該公司產品可廣泛應用於IC製造與先進封裝、MEMS、TSV/3D、TFT-OLED等製造領域。

該公司主要產品包括:

600掃描光刻機系列—前道IC製造

基於先進的掃描光刻機平台技術,提供覆蓋前道IC製造90nm節點以上大規模生產所需,包含90nm、130nm和280nm等不同解析度節點要求的ArF、KrF及i-line步進掃描投影光刻機。該系列光刻機可兼容200mm和300mm矽片。

500步進光刻機系列—後道IC、MEMS製造

基於先進的步進光刻機平台技術,提供覆蓋後道IC封裝、MEMS/NEMS製造的步進投影光刻機。該系列光刻機採用高功率汞燈的ghi線作為曝光光源,其先進的逐場調焦調平技術對薄膠和厚膠工藝,以及TSV-3D結構等具有良好的自動適應性,並通過採用具有專利的圖像智能識別技術,無需專門設計特殊對准標記。該系列設備具有高解析度、高套刻精度和高生產率等一系列優點,可滿足用戶對設備高性能、高可靠性、低使用成本(COO)的生產需求。

200光刻機系列—AM-OLED顯示屏製造

200系列投影光刻機綜合採用先進的步進光刻機平台技術和掃描光刻機平台技術,專用於新一代AM-OLED顯示屏的TFT電路製造。該系列光刻機不僅可用於基板尺寸為200mm × 200mm的工藝研發線,也可用於基板尺寸為G2.5(370mm × 470mm)和G4.5(730mm × 920mm)的AM-OLED顯示屏量產線。

矽片邊緣曝光機系列——晶元級封裝工藝應用

SMEE開發的矽片邊緣曝光機提供了滿足晶元級封裝工藝中對矽片邊緣進行去膠處理的能力,設備可按照客戶要求配置邊緣曝光寬度、矽片物料介面形式、曝光工位等不同形式。設備同時兼容150mm、200mm和300mm等三種不同規格的矽片,邊緣曝光精度可到達0.1mm。設備配置了高功率光源,具有較高的矽片面照度,提高了設備產率。

至純 科技

至純 科技 成立於 2000 年, 主要為電子、生物醫葯及食品飲料等行業的先進製造業企業提供高純工藝系統的整體解決方案, 產品為高純工藝設備和以設備組成的高純工藝系統,覆蓋設計、加工製造、安裝以及配套工程、檢測、廠務託管、標定和維護保養等增值服務。

該公司在 2016年前產品約一半收入來自醫葯類行業,光伏、 LED 行業及半導體行業收入佔比較小。 2016年以來,公司抓住半導體產業的發展機遇,逐步擴大其產品在半導體領域的銷售佔比, 2016和 2017 年來自半導體領域收入占公司營業收入比重分別為 50%和 57%,占據公司營業收入半壁江山。主攻半導體清洗設備。

該公司於 2015 年開始啟動濕法工藝裝備研發, 2016 年成立院士工作站, 2017 年成立獨立的半導體濕法事業部至微半導體,目前已經形成了 UltronB200 和 Ultron B300 的槽式濕法清洗設備和 Ultron S200 和 Ultron S300 的單片式濕法清洗設備產品系列, 並取得 6 台的批量訂單。

精測電子

武漢精測電子技術股份有限公司創立於 2006 年 4 月,並於 2016 年 11 月在創業板上市。公司主要從事平板顯示檢測系統的研發、生產與銷售,在國內平板顯示測試領域處於絕對領先地位, 主營產品包括:模組檢測系統、面板檢測系統、OLED 檢測系統、AOI光學檢測系統和平板顯示自動化設備。近幾年來,該公司積極對外投資,設立多家子公司,業務規模迅速擴張,進一步完善了產業布局。

該公司成立初期主要專注於基於電訊技術的信號檢測,是國內較早開發出適用於液晶模組生產線的 3D 檢測、基於 DP 介面的液晶模組生產線的檢測和液晶模組生產線的 Wi-Fi 全無線檢測產品的企業,目前該公司的 Mole 製程檢測系統的產品技術已處於行業領先水平。

2014 年,精測電子積極研發 AOI 光學檢測系統和平板顯示自動化設備,引進了宏瀨光電和台灣光達關於 AOI 光學檢測系統和平板顯示自動化設備相關的專利等知識產權,使其在 Array製程和 Cell 製程的檢測形成自有技術,初步形成了「光、機、電」技術一體化的優勢。

精測電子2018年上半年財務報告顯示,該公司收入主要來自 AOI 光學檢測系統業務,佔比 45.49%,毛利佔比 41.94%;其次是模組檢測系統業務,收入佔比 23.33%,毛利佔比 27.68%; OLED 檢測系統和平面顯示自動化設備收入佔比分別為 14.29%和12.30%,毛利佔比為 14.26%和 10.28%。

電子 科技 集團45所

中國電子 科技 集團公司第45研究所創立於1958年,2010年9月,中央機構編制委員會辦公室批准45所第一名稱更改為「北京半導體專用設備研究所」,第二名稱仍保持「中國電子 科技 集團公司第四十五研究所」不變。

45所是國內專門從事軍工電子元器件關鍵工藝設備技術、設備整機系統以及設備應用工藝研究開發和生產製造的國家重點軍工科研生產單位。

45所以光學細微加工和精密機械與系統自動化為專業方向,以機器視覺技術、運動控制技術、精密運動工作台與物料傳輸系統技術、精密零部件設計優化與高效製造技術、設備應用工藝研究與物化技術、整機系統集成技術等六大共性關鍵技術為支撐,圍繞集成電路製造設備、半導體照明器件製造設備、光伏電池製造設備、光電組件製造和系統集成與服務等五個重點技術領域,開發出了電子材料加工設備、晶元製造設備、光/聲/電檢測設備、化學處理設備、先進封裝設備、電子圖形印刷設備、晶體元器件和光伏電池等八大類工藝設備和產品,服務於集成電路、光電元器件與組件、半導體照明和太陽能光伏電池四大行業.

上海睿勵

睿勵科學儀器(上海)有限公司是於2005年創建的合資公司,致力於研發、生產和銷售具有自主知識產權的集成電路生產製造工藝裝備產業中的工藝檢測設備。主要生產用於65/28/14nm製程工藝控制的膜厚測量設備。

沈陽芯源

沈陽芯源微電子設備有限公司成立於2002年,由中科院沈陽自動化研究所引進國外先進技術投資創建。

芯源公司自主開發的單片勻膠機、顯影機、噴膠機、去膠機、清洗機、濕法刻蝕機等設備廣泛應用於半導體、先進封裝、MEMS、LED等領域。

1.LED領域勻膠顯影機:應用於LED晶元製造、PSS(圖形化襯底)、MEMS、HCPV(高聚光型太陽能電池)、Waveguide(光波導)工藝的勻膠顯影等工藝製程。

2.高端封裝全自動塗膠顯影機:廣泛應用於先進封裝BGA、Flip-Chip、WSP、CSP製程的高黏度PR、PI、Epoxy的塗敷、顯影工藝製程。

3.高端封裝全自動噴霧式塗膠機: 廣泛應用於TSV、MEMS、WLP等工藝製程。

4.單片濕法刻蝕機/去膠機/清洗機:廣泛應用於先進封裝BGA、Flip-Chip、WSP、CSP製程的刻蝕、去膠、清洗工藝製程。

5.前道堆疊式全自動塗膠顯影機:應用於90nm光刻工藝、BARC塗覆、SOC、SOD、SOG等工藝製程。

盛美半導體

盛美半導體(ACM Research)是國內半導體清洗設備主要供應商,於1998年在美國矽谷成立,主要研發電拋光技術,2006 年成立上海子公司,專注於半導體清洗設備。2017年11月4日公司在美國納斯達克上市。2017年公司營業收入3650萬美元,同比增長33.2%,其中90%以上的營業收入來自於半導體清洗設備。2017 年研發投入占營業收入比例為14.1%。

由於聲波清洗可能會造成晶片損傷,行業公司大多轉向研發其他技術,盛美半導體另闢蹊徑研發出空間交變相移兆聲波清洗(SAPS)和時序能激氣泡震盪兆聲波清洗(TEBO)兩項專利技術,可以實現無傷清洗。公司的清洗設備目前已經進入 SK 海力士、長江存儲和上海華力等先進產線。

天津華海清科

天津華海清科機電 科技 有限公司成立於2013年,是天津市政府與清華大學踐行「京津冀一體化」國家戰略,為推動我國化學機械拋光(CMP)技術和設備產業化成立的高 科技 企業。

華海清科主要從事CMP設備和工藝及配套耗材的研發、生產、銷售與服務,核心團隊成員來自清華大學摩擦學國家重點實驗室及業內專業人才,產品可廣泛應用於極大規模集成電路製造、封裝、微機電系統製造、晶圓平坦化、基片製造等領域。

中電科裝備

中電科電子裝備集團有限公司成立於2013年,是在中國電子 科技 集團公司2所、45所、48所基礎上組建成立的二級成員單位,屬中國電子 科技 集團公司獨資公司,注冊資金21億元,該公司是我國以集成電路製造裝備、新型平板顯示裝備、光伏新能源裝備以及太陽能光伏產業為主的科研生產骨幹單位,具備集成電路局部成套和系統集成能力以及光伏太陽能產業鏈整線交鑰匙能力。

多年來,利用自身雄厚的科研技術和人才優勢,形成了以光刻機、平坦化裝備(CMP)、離子注入機、電化學沉積設備(ECD)等為代表的微電子工藝設備研究開發與生產製造體系,涵蓋材料加工、晶元製造、先進封裝和測試檢測等多個領域;通過了ISO9001、GJB9001A、UL、CE、TüV、NRE等質量管理體系與國際認證。

沈陽拓荊

沈陽拓荊 科技 有限公司成立於2010年4月,是由海外專家團隊和中科院所屬企業共同發起成立的國家高新技術企業。拓荊公司致力於研究和生產薄膜設備,兩次承擔國家 科技 重大專項。2016年、2017年連續兩年獲評「中國半導體設備五強企業」。

該公司擁有12英寸PECVD(等離子體化學氣相沉積設備)、ALD(原子層薄膜沉積設備)、3D NAND PECVD(三維結構快閃記憶體專用PECVD設備)三個完整系列產品,技術指標達到國際先進水平。產品廣泛應用於集成電路前道和後道、TSV封裝、光波導、LED、3D-NAND快閃記憶體、OLED顯示等高端技術領域。

華海清科

天津華海清科機電 科技 有限公司成立於2013年,是天津市政府與清華大學踐行「京津冀一體化」國家戰略,為推動我國化學機械拋光(CMP)技術和設備產業化成立的高 科技 企業。

華海清科主要從事CMP設備和工藝及配套耗材的研發、生產、銷售與服務,核心團隊成員來自清華大學摩擦學國家重點實驗室及業內專業人才,產品可廣泛應用於極大規模集成電路製造、封裝、微機電系統製造、晶圓平坦化、基片製造等領域。

以上就是我國大陸地區的主要半導體設備生產企業。

隨著我國半導體產業的快速發展,對半導體設備的需求量越來越大,而本土半導體設備企業面臨著供給與需求錯配的情況。一方面,國內的半導體設備需求隨著下游產線的擴張而迅速增加,大陸的半導體設備需求佔全球半導體設備需求的比重較高;但另一方面,本土的設備供給存在著水平較為落後,國產化率不高的情況。

針對這一情形,在國家的大力支持下,國內設備企業需要積極布局,以在各細分設備領域實現突破。